CEO Morning Brief

Samsung Unveils Chip Technology Road Map to Win AI Business

edgeinvest
Publish date: Fri, 14 Jun 2024, 10:24 AM
edgeinvest
0 23,303
TheEdge CEO Morning Brief

(June 13): Samsung Electronics Co unveiled a number of forthcoming advancements to its technology aimed at luring makers of artificial intelligence (AI) chips to its manufacturing business.

Though Samsung is the world’s No 1 memory-chip maker, it has been trying to catch up with rival Taiwan Semiconductor Manufacturing Co (TSMC) in the foundry market, where companies manufacture customer-designed chips. Samsung laid out its chipmaking road map and outlined its vision for the AI era at its annual foundry forum on Wednesday at its US chip headquarters in San Jose, California.

Samsung’s share in the foundry market slid to 11% in the first quarter of this year, from 11.3% in the previous quarter, while TSMC’s share climbed to 61.7% from 61.2% during the same period, according to TrendForce.

The South Korean chipmaker’s earnings are recovering, helped by demand for components used in AI computing systems. That’s bolstering its main memory chip division and also providing opportunities to win outsourcing orders.

But Samsung has to prove its production is advanced and reliable enough to attract bigger commitments from demanding customers such as Nvidia Corp, which produces AI accelerators that are a must-have for all large technology companies. Samsung also faces a nascent challenge from Intel Corp, which is opening its plants in an attempt to win orders from former rivals.

Advances in production technology, usually signified by smaller and smaller transistor dimensions, helps improve the performance of the electronic components. The race to smaller dimensions is key to winning orders for AI processors, some of the highest performing and most expensive chips in use.

The advanced process introduced by Samsung uses so-called backside power delivery network technology, which places power rails on the backside of a silicon wafer. Such technology enhances power, performance and area while significantly reducing the drop in voltage, compared with its first-generation two-nanometre (2nm) process, the company said.

Samsung also argues that its ability to offer logic, memory and advanced packaging will help it make rapid progress in winning outsourced semiconductor manufacturing orders for AI-related chips.

The company predicted on Wednesday that its AI-related customer list will expand fivefold, and revenue will increase by nine times over current levels by 2028. The company announced several new types of production technology and a layout for future AI-related chips that it said will help it win customers.

Samsung executives declined to comment on the status of its attempts to supply the latest advanced memory chips to Nvidia or respond to reports that it had not yet been able to achieve qualification of such chips at the US company.

Samsung also touted its gate-all-around — or GAA — technology, which is key for AI products. The company plans to mass produce its second-generation 3nm process in the second half of this year, and deliver GAA in its upcoming 2nm process. In 2022, Samsung became the first in the industry to begin GAA-based 3nm mass production.

The chipmaker affirmed that its preparations for 1.4nm are progressing smoothly, with performance and yield targets on track for mass production in 2027.

Uploaded by Tham Yek Lee

Source: TheEdge - 14 Jun 2024

Discussions
Be the first to like this. Showing 0 of 0 comments

Post a Comment