Future Tech

Intel: Our balance sheet is a smoking ruin, but we think our new chips work

Tan KW
Publish date: Wed, 07 Aug 2024, 04:40 PM
Tan KW
0 463,537
Future Tech

Intel has told the world its vaunted 18A manufacturing process works - at least in early tests … that it's announced with few details.

Chipzilla on Tuesday announced what it described as "major milestones" for its foundry, which it now treats as a separate biz that supplies chipmaking services to both Intel and third-party customers.

The 18A process is Intel Foundry's attempt to better chipmaking tech employed by rivals like TSMC, and by doing so regain its status as Earth's most sophisticated chipmaker - thanks to its use of RibbonFET gate-all-around transistors and PowerVia backside power technology. The former is a new way of laying out chips that allows increased transistor density, and the latter is a means of delivering power to those denser collections of transistors.

Intel's plan is that tech like RibbonFET and PowerVia will return it to technical leadership that will see its own products improve, followed hopefully by sales. Fabless chipmakers will see 18A, swoon, and come-a-knocking to have Intel create their latest designs.

Order will be restored to the chipmaking universe - which means Intel on top and delivering rivers of profit on which shareholders will sail into early retirement as Intel scrip soars.

Intel badly needs that story to come true. The chip shop recently announced further losses and a fresh round of mass layoffs. It's also dealing with faulty products that look set to cost it plenty because lawyers are contemplating class actions.

Tuesday's announcement that Panther Lake client processors and Clearwater Forest server silicon made on 18A "are out of the fab and have powered-on and booted operating systems" is therefore welcome. So is news that this outcome was achieved "less than two quarters after tape-out" and that both products are "on track to start production in 2025."

But that's all the detail Intel provided.

We don't have detailed info about performance or yields - just an assertion from head of foundry services Kevin O'Buckley that Panther Lake and Clearwater Forest "are being used inside the company, and are yielding and performing well."

More info would be appreciated so investors and buyers can understand if yields were strong. Did most of the dies Intel baked produce usable chips, or did just a few yield working products? That question matters - because if 18A has low yields, the process will struggle to make a dent in the market,

The announcement also omits mention of when in 2025 production might commence, or the potential volumes. That matters because Intel's rivals are not idle: Qualcomm in particular is keen to move into desktop and workstation processors, after already seeing its Snapdragon Elite X silicon used as Microsoft's poster child for Copilot+ PCs. AMD also has new client silicon in the works.

Intel did at least offer a hint of third-party enthusiasm for 18A, revealing that a "first external customer is expected to tape out on Intel 18A in the first half of next year."

That's a little vindication of the plan to return to the foundry biz.

As The Register considered Intel's announcement, we couldn't help but notice it includes an unusually lengthy set of disclaimers about the forward-looking statements it contains. Out of curiosity, we ran a word count: Chipzilla produced 738 words of news, and 925 of legalese.

Which is very telling. This announcement was a tease, not a promise - and it remains to be seen if 18A is really on track to drive the turnaround Intel imagines. ®

 

https://www.theregister.com//2024/08/07/intel_boots_18a_chips/

Discussions
Be the first to like this. Showing 0 of 0 comments

Post a Comment